Module Overview

Control Engineering 1

The aim of this module is to:

  • Introduce the concept of control in an engineering context and to indicate the wide variety of control tasks in engineering systems.
  • Describe common control strategies open loop and feedback.
  • Present a description of closed loop control systems and analyse the main properties of feedback.
  • Introduce the concepts of steady state error, disturbances, disturbance rejection and stability.
  • Present time and frequency domain models as equivalent descriptions of system behaviour.
  • Provide an understanding of the need for compensation and to illustrate the properties of proportional, integral and derivative controllers.
  • Understand that proper control system design leads to systems that are efficiently and adequately controlled, and can have a direct impact on energy consumption, the environment and society.

 

Control engineering is applied in many different fields and at many different levels. The components of all control systems are diverse in nature and may include electrical, electronic, mechanical, thermal and fluidic devices. The starting point for analysis is usually a mathematical model of the physical system. First and second order models are studied in detail, as these are the class of model most representative of simpler systems and many complex industrial systems can be approximated by models of this form. A systems performance can be improved by the introduction of feedback, and this requires a knowledge of block diagram analysis. System performance in the time domain is assessed against standard performance criteria. Closed-loop stability and how it relates to features of the system model (poles and zeros) must be understood. The three term (PID) contoller is one of the most common found in industry and its features and applications are studied. System response in the frequency domain introduces the ideas of system gain and phase and graphical ways in which these features can be represented. Frequency response data is presented in terms of Bode and Nyquist plots and the ability to identify certain features of such plots is necessary. System stability is also studied.

 

The module is structured as follows:

Knowledge Breadth: The module introduces the theory of classical control in the design and analysis of open loop and closed-loop systems. Since the components of control systems are diverse, the ability to model mechanical, electrical and electronic sub-systems is required. (1, 2) In the design of such systems, process and control engineering knowledge is combined with that gained in other course modules using appropriate control design procedures, mathematical skills and analysis tools. (1 - 4, 7) The ability to interface with electronic controllers, PLC's, computers and microprocessor systems is also necessary. (3, 7, 9) MATLAB and simulink software is used to assist in control system design and simulation (1, 2, 4, 7).

Knowledge Kind: The learner will know how to apply the appropriate physical laws to model control system components and be able to design simpler systems (1) The use of mathematical analysis and computer modelling will assist the prediction of system behaviour (4). Appropriate laboratory work and case studies reinforce the theoretical content of the course in understanding the dynamic behaviour of physical systems (3, 8, 9)

Know-how and Skill Range: Understanding of time domain concepts of control systems (3 - 5). The effects of feedback (4, 7). Classical frequency domain design using Bode and Nyquist plots as applied to single-input-single-output systems (6, 7).

Know-how and Skill Selectivity: Derivation of transfer functions and other appropriate forms of system description from theory and experiments (1, 2) System response to step, ramp and other inputs, from theory, computer-based analysis and by experiment (3, 4, 7). Performance and analysis of closed-loop systems using block diagrams (1 - 4). Application and interpretation of Bode and Nyquist plots (2, 4, 6, 7). Ability to analyse stability and stability margins (3, 4, 6, 7)

Competence Context: The learner can apply the knowledge acquired in the design and analysis of simpler control systems in the laboratory and also in project and team work (7 - 9).

Competence Role: The learner, independently, or as part of a team, can apply the knowledge and skills acquired to design range of control devices and systems (7 - 9).

Competence Learning to Learn: The application of control theory in the laboratory and through project work will identify learning needs. The learner will realise the need for self learning on an ongoing basis and the need to stay abreast of current strategies and developments (4, 6, 8, 9).

Competence Insight: The learner, individually or as part of a team, will have discussed.

Module Code

MECH 3019

ECTS Credits

5

*Curricular information is subject to change
  • Analytical treatment of first and second order systems. Effect of disturbances, steady state errors. Standard test inputs and system response. Stability of linear systems, the Routh-Hurwitz Criterion.
  • Concept of control systems, types of control, open and closed loop systems, effects of feedback.
  • Differential equations and transfer functions of physical systems. Block diagrams and their reduction.
  • Frequency response. Nyquist and Bode plots of the open loop system, relative stability, the closed loop frequency response.
  • Process control systems, 2 step, proportional, integral, and derivative control actions. Generation of control actions.
  • Lectures, discussion, case studies, problem-solving exercises, video, tutorials, self-directed learning and laboratory work.
  • Laboratories of 2 hour duration once every 2 weeks over a 12 week period.
  • Lectures of 2 hourr duration 2 times per week for 12 weeks.
Module Content & Assessment
Assessment Breakdown %
Formal Examination60
Other Assessment(s)40